А что ты можешь написать на яве?
>>178379934 (OP)Ничего, я у мамы питонист.
>>178379934 (OP)Парсер арифметических выражений
>>178379934 (OP)Сектор газа - круто
>>178380030Сколько строк?
>>178380030Это как калькулятор, только не производящий расчеты?
>>178380099Обмяк.
>>178379934 (OP)Зачем создавать класс для хелловорлда?
this
>>178380576А куда ты main засунешь?
public class Kek(){public static void main(String args[]){String thread;thread = "java thread";if(thread.equals("java thread")){System.out.print("ОП ХУЙ");}}}
>>178381207А main куда-то надо засовывать?
Погодите, это что, вам нужно создавать класс чтобы поместить туда функцию main? Какой мудацкий язык, его точно какой-то пидор делал.
>>178382672В жаве да
>>178382742Очень жирно
Бамп
А шо надо?Миможабокодер
>>178385222А шо можешь?
ничего, я фронтендер
могу написать на питоне хеллоу ворлд, я успешен?
>>178379934 (OP)А что ты можегь написать на плюсах?
>>178385366Могу писать, могу не писать, могу сделать так, чтобы писали другие могу джаву удалить
Мы яву в школе курили на переменах.
>>178385495
>>178385718Что за среда?
>>178385864На sublime похоже
>>178385864Третий саблайм
>>178385864Сегодня понедельник, придурок очкастый девственник.
>>178379934 (OP)А что ты можешь написать на нормальном ЯП, таком, каким должно быть программирование?
>>178385993> 2k18> Писать на Rust
>>178385993>нормальный язык>тянет либы матлаба>пытается в ML на матлабеДа пошёл ты нахуй!
>>178379934 (OP)Что ты пидор
>>178386183>>178386074>чмоньки, не видившие в жизни чистый православный c в глаза не видели поясняют за программирование
>>178386183Чем плохо?
>>178386384Но нахуя?>>178386320Электронщик закукарекал
Ставь лайк, если с кт))Илья пидр
Нормальные пацаны гуляют, пиво пьют, тяночек трахают. А вы черви клавиатурные, девственники чмошные.
>>178385993>Правосланый СИ>.cpp>Виндовозная студия На хуй иди, студент ебанный.
>>178387052Не понял, что за кт, но обидно мимо пидр Шучу, илья
>>178385993Пишу всякую хуйню для себя
> компилируемые, строго типизированные языки в 2k18мимо 100к js джун
>>178388159> писать 3rps приложения на языке для разметочки страниц в 20!8
>>178379934 (OP)ЯВА, ЯВА, ОТСОСИ, РАЗЯВА
Ничего, я не программист. И не технарь. И даже не сраный гуманитарий.да кто же я блядь такой-то а?пикрандом, в близзопарашу не играл уже овердохуя.
Ищу работу на удаленке, веб-макака, учил Джаву, Си, Питон.
>>178380902Неплохая идея, если сам придумал, может взлететь. Реализация говно конечно, но это не беда.
>>178382152О, индусов завезли, наплодить сущностей, чтобы пройти проверку, которая не нужна - топчик.
>>178379934 (OP)Кто? Я?
>>178387646Пиздуй опенсорса накати, быдло.
>>178388159Про интерпретацию и частичную пересборку макаки видимо не в курсе.
>>178379934 (OP)Си-господь в треде. Соси молча, оп.
>>178379934 (OP)Иди нахуй с этим лагающим говном мимо С# backend .NET Core сеньор
>>178379934 (OP)Я в последнее время не пишу, а только проверяю написанное и раздую лещей джунам.
>>178379934 (OP)Трейдинговую платформу.
Уволь, еретик, твои слова — яд для крестоносцев языка богов!
>>178396401>мимо С# blackened.NET Core сеньор
>>178396659Неприятно?
Ееее, пистооон крута
>>178396777Вот только вакансий почти нет, лол.
>>178396610> необходимость освобождать память вручную> язык богов
>>178396799Пистон не для вакансий джанго+постгре макаку куда угодно загребут блядь, а для души!!
>>178396825Трудно быть богом
>>178396825>освобождатьДело не в освобождении, а в гранулярном управлении временем жизни объектов. Ты бы для начала просто почитал, что это такое, а то как каноничный дебил из тредов в зэкаче.
лексический анализатор. теперь это угадываем язык тред.
>>178396841>джанго+постгреЧёт слегка толсто.
>>178397164сало
>>178396899Я прекрасно знаю, что это такое, не один год сам на этом говне писал.И в большинстве случаев, если только речь не идет о каких то встраиваемых системах, оно нахуй не нужно, и только плодит утечки памяти.
>>178379934 (OP)Пробовал хуйней помаяться на джаве, после шарпа плевался конечно. Можно ставить шарики, они летают и притягиваются друг к другу, при столкновении объединяются.
>>178379934 (OP)
>>178385718Pfff#import <iostream>main() {std::cout << "Hello world";}ебанутый препод помешан на сокращении кода
>>178379934 (OP)кукарек<кококо>= new кукарек<кококо>;
>>178379934 (OP)>>178398847
>>178385993АДА-КУН ВКАТЫВАЕТСЯ В ТРЕНДЖ.
>>178400870Ты имя переменной забыл
>>178400933У меня просто NetBeans пока что ещё не загрузился. :(
>>178397164что за стиль подстветки?
>>178379934 (OP)void(пошел нахуй, епта)
>>178379934 (OP)Браузерного друга.
>>178379934 (OP)Сервлеты, веб-службы, веб-содержимое, андройд.Для остального есть плюсы.
Сейчас хуярю Андроид приложения, в школьные годы писал рекурсивный поиск (потом когда узнал что его нихуя не я придумал, грустно стало) по файлам на пеке чтобы пиздить у учителей контрольные. А больше нахуй и не нужна ява.
>>178379934 (OP)На яве ничего нельзя написать, они же в капюшонах
>>178379934 (OP)Ничего, я натурал
>>178404770Поорал
>>178400828"\n" забыл.
>>178404417Так это яваскрипт же
Эта ява очень плохо себя ведет и постоянно что-то шепчет мне на ухо по-моему она ебанулась
>>178379934 (OP)Рейтаните мой быдлокод для калькулятора:Беру строку, паршу циклом на цифры и операции в два листа.Дальше второй цикл берет считает через кейсы всю эту ебанину с условием первая ли итерация. А дальше просто к результату добавляет что насчитала.Как это делают нормальные белые люди?
>>178379934 (OP) а на пике и не ява :D
>>178410731Я представляю себе это так: есть джва метода, один из которых решает выражения БЕЗ скобок, и использоваться он будет во втором методе, который определяет, какое выражение в скобках нужно выполнить первым (если скобок вообще нет, отправляет первому методу всю строку). Затем скобка заменяется полученным значением, а метод (второй) вызывает сам себя с аргументом в виде полученной после начальных подсчетов строки. Так происходит до тех пор, пока не пропадут все скобки. В результате должно остаться одно значение.(14 - (19 - 2*3))/(2^(5-4)) --> (14 - 13)/(2^(5-4)) --> (14 - 13)/(2^1) --> 1/(2^1) --> 1/2 --> 0.5Сейчас только подумал, а что будет с выражением типа 27 - (-6). Если программа будет следовать той же логике, то на следующем этапе получится "12 - -6", это уже проблема.
>>178410731Не шарю в погромировании, но нельзя напрямую перехватывать ввод с клавиатуры без ебучих костылей в виде строк? Мусорный ввод отсекать по предусловию.
>>178411223Ты долбоеб или школьник, или и то и другое. Это эклипс, блядь, и какого хуя у НЕДЖАВА расширение .java
>>178400931Шел бы ты отсюда дедуся!
>>178412121> Сейчас только подумал, а что будет с выражением типа 27 - (-6). Если программа будет следовать той же логике, то на следующем этапе получится "12 - -6", это уже проблема.В принципе, можно это решить костылем, который будет заменят идущие подряд два минуса на плюс, но должно быть решение попроще.
>>178412412Хи ис джаст кидинг, ю, ступид дик
>>178412631Просто у жаба разработчиков плохо с юмором.миомо жаба недомидол
>>178412239Как в шиндовс-говнокалькуляторе? В таком неудобно считать сложные выражения с кучей скобок, так что нужно парсить строку.> костыльЗначение знаешь?
>>178379934 (OP)Так как программировать не умею вообще, могу что-нибудь написать на пачке сигарет "Ява".
>>178396401Здравствуйте, коллега.
>>178413063Адекват итт
>>178400883
>>178412780>В таком неудобно считать сложные выражения с кучей скобокЯ думал ты калькулятор на одну операцию делаешь. >Значение знаешь?Ну а как назвать целое выделение памяти, хранение в символьном виде, парсинг, вычисления и очистку памяти ради одной операции?
>>178396401Почем нынче дотнет?
>>178379934 (OP)ХЗ, все что угодноМимо Сеньор-помидор, с зп 300 000 руб/сек
>>178379934 (OP)Во первых на джаве, во вторых пошел нахуй я пишу на сишарпе.
>>178413510Стихотворение на трехстрочном ямбе можешь?
>>178413532> Во первых на джаве, > во вторых пошел нахуйСишарп-петушок порвался
>>178413564Как нехуй делать
>>178413665Вот ты и попался. Хорей, хорей когда полный только тогда можно говорить о каком то там стихотворении.
>>178413600Как будто ты что-то другое ожидал от этого ко-ко-джамбо
>>178413600Я хотя бы на человеческом языке программирования работаю.
Суммирование массива интов.учил джаву семестр
>>178413723> Хорей, хорей когда полныйНе боись детка, хорей у меня полный
>>178413768> Я хотя бы на человеческом языке программирования работаюТы знаешь еще языки? Круто, что за язык?
>>178413794Проиграл
Но я ведь хуярю на плюсах для стмки
>>178412121>>178412590Берете просто без задней две разных тире короткое для пидоров и все.>>178410731
есть технари-инженегры в треде? как часто используете программирование по работе? работали с пикрилом?
>>178410731Белые люди делают трансформацию в обратную польскую нотацию с помощью алгоритма сортировочной станции, после этого ответ тривиально получается.
>>178414088> Берете просто без задней две разных тиреЯ думал, мое решение - костыль, но это просто пиздец. Пользователю ты оставишь приписку, типа Пожалуйста, используйте длинное тире для минуса перед числом (вы можете нажать сочетание клавиш Alt+0150) и короткое в качестве арифметического опрератора./I] На этом моменте пользователь закрывает твой калькулятор и больше никогда его не открывает.
>>178414652> /I]обосрался :(
>>178379934 (OP)Напишите свой оператор на java. Или переопределите существующий. Задача для джуна, если что.
>>178414718Я не уметь перегружать оператор
-–—
>>178414718/b/рат-Плюсобог?
>>178379934 (OP)for(true){System.out.println ("OP-hui");}
>>178410013> endl;
>>178414997for ( ; true; ){ printf("%s","OP-Hui");}
>>178414997> for(true)Ахах
>>178415055> ебанутый препод помешан на сокращении кода
>>178414856Swift'о-мразь. Но операторы у нас можно того самого
Спрингоблядь вкатывается в этот тред
>>178415183>override аннотациейБлеванул тебе в горло.
>>178415303А хуле нет то?
>>178415114while(true){System.out.println ("OP-hui");}
>>178415342Тому що нарушает консистентность ООП языка.Вы бы еще вместо super писали @super
>>178415161свифт разве не из обжси ногами растёт?
Например кусочек IDEA
>>178410381Ловите вкатывальщика!
>>178415563Языки очень отличаются друг от друга, а вот рантаймы совместимы где это можно
>>178415538Так это же не райнтайм-аннотация. Удобство там, все такое.Алсо, и как по твоему правильно?
>>178415750public override UserDetails... Как и в других языках
>>178414718K O T L I NOTLIN
Окей, почему вайл выходит из форича?
>>178385524Спасибо, мы вам перезвоним
>>178415818> в других языках> .NETНе могу с вами согласиться, т.к. указанный вами подход не очень то и распространён. Ну и с этой точки зрения консистентность особо не нарушаетсямимо js-макакен
>>178414209Есть. Часто. Работали. Чего хотел-то?
>>178416026В с++ так
>>178397164Еликсир/Ерланг
>>178379934 (OP)Сделал сайт с текстами песен на севрлетах и JSP с бутстрапом и жквери.Делал курсовую работу — круд-сервис — на Спринге и Реакте.Щас хочу сделать блог, опять же на Спринге и Реакте. Потом возможно сделаю что-нибудь на EJB, а на фронт-энде хочу попробовать Vue.
>>178416254а не virtual?
>>178415183Какое же это убожество в сравнении с Django!
>>1784164364 пробела не забыл поставить?
>>178416026>> .NETНу кроме этого еще плюсы, свифт
>>178400870Неактуально, уже завезли var и val. А если не хочешь на десятую Джаву переходить, то можно использовать Ломбок.
>>178416552Проперти не завезли?
>>178416552Действительно, блоки тоже прорыв по сравнению со скобочками.
Могу написать письмо твоей мамке
>>178416629Сурс обоев?
>>178416755Всегда пожалуйста.
>>178416629
По-моему джава идеальный язык для вкатывания, нет особого задротства как в С, при этом порог достаточно высок чтобы ограничить кол-во вкатывающихся, тк учить надо таки довольно много
>>178416950Энтерпрайз-гавно без задач
>>178397164Это CoffeeScript
>>178416878Спасибо
>>178416950У тебя бинсы из фабрики абстрактных фабрик потекли
>>178416432Нет, virtual только в базовом классе, в наследниках по уму надо писать override. Virtual в наследниках можно писать, но это уже не имеет смысла. class Base{public:virtual void foo() = 0;};class A: public Base {void foo() override;};
>>178417065Петух, плиз.
>>178417004>>178417065Зато платят неплохо. А это главное, лол.>без задачОпять же, вакансий полно, задачи найдутся
>>178417150У тебя идея загрузилась, скорее код пиши, мартыха.
>>178417004Может быть, но ты учишь это говно несколько месяцев и идешь на 65к джуном и от тебя не требуют быть алгоритмическим мудрецом
>>178416950Method Swizzling то завезли вам, не?
>>178417271У меня идея загружается секунд за 10, может ты просто нищенка и у тебя комп говно...
>>178417466>...Ставь... больше... многоточий... Так твои слова... выглядят... более... глубоко...
>>178417466>У меня идея загружается секунд за 10Проиграл, это ж надо себе так за шиворот насрать и хвастать этим.
>>178417529Зашивай сраку, питушок))
>>178417584>))Ньюфажная блядь решила по всем задротским моделям поведения пройтись.
>>178417577Насрал я тебе на лицо :)
>>178414652У меня на кнопках все, мне похуй
>>178417628>>178417584>>178417466Method Swizzling завезли, нет, петушара?
>>178417612Я здесь с 2008 года, кто ещё тут ньюфаг, мм?
>>178417321Подмену поведения в рантайме? Ебаный костыль, нарушающий нормальную работу и потенциальный источник кучи ошибок. Пизду доучиваться, свифтоблядь
>>178417668Ненужное говно без задач.
>>178417680>нинужно!!!!Ясно)
>>178417728-> >>178417728
>>178417321Будто я знаю что это нахуй
>>178417769А нахуя это нужно?
>>178417914>>178417907Джава-блядь, угомонись уже. Уже решили, если тебе барин из Оракл сказал нинужно, значит нинужно, и нечего спорить.
>>178379934 (OP)Братишки, что учить помимо синтаксиса, чтобы устроиться? В ООП шарю, шаблоны проектирования знаю и использую, стараюсь следовать приципам SOLID.
>>178418123Паттерны, архитектуры, правила чистого кода сикп лисп хаскель
>>178418008Успокойся, ябло-петушок, я все понимаю. Если в Apple сказали жрать говно — надо жрать говно. Это бывает с каждым. Не ты один такой говноед.
>>178418008Но ведь это же та же фабрика, только сделанная через жопу и костыльно.
>>178418008Ясно, значит бесполезная хуета. И подозреваю охуенно дебажится.
>>178379934 (OP)могу заработать 200к на яве.
>>178418190>Паттерны>шаблоны проектирования знаю и используюВ шары долбишься?>сикп лисп хаскельМеня жаба интересует.
>>178418203>ряяяяяяя ита ни вазможность, а приказ!!11 у нас в джаве вот так>>178418239чего блядь? Ты наугад отвечаешь чтоли?>>178418244ну ненужно же конечно. никогда и не зачем, барин не дал, значит верим барину.напомните мне, ПРОПЕРТИ ТОЖЕ НЕ НУЖНЫ?
>>178417748Я помню как про множественное наследование так говорил и мне возражали такие, как ты, лол. И где оно теперь?
>>178418471>ПРОПЕРТИ ТОЖЕ НЕ НУЖНЫ?В джаве разве нет проперти? Ты наркоман? В С++ можно менять методы обьекту в рантайме, но на хуя? В питоне можно создавать класс в рантайме, но на хуя?
>>178418504В плюсах? А еще заменено костылем под названием интерфейсы?
>>178418645>В джаве разве нет проперти?Не поверишь, но нет. Таких нормальных, чтобы можно в сеттере что-то поделать, нет. До сих пор с методами ебутся и просят еще.
>>178418504>множественное наследованиеВ ++ это необходимость, так как там нет интерфейсов. Есть целые паттерны построенные на множественном наследовании.
>>178418676Школьник, плез. Еще я тут от долбоебов про костыли не слушал
>>178418915О, ну раз ты первый меня школьником назвал, то ты выиграл. Не смею задерживать синьора помидора.
>>178418752Мне вот любопытно, почему их не ввели до сих пор. Стандарт-то правят, язык вроде разивается. Давно все заклеймили множественное наследование говном лютым, ни в одном современном ЯП его нет, но...
>>178419045Кое где трейты есть.
>>178419045Потому что умишко среднего С++ дева на порядок выше всяких java макак и он может переварить множественное налседование и организовать его как нужно. А вот дурачка на современных яп нужно по максимому выкручивать руки и давать фичи раз в 5 лет, чтобы они там себе ноги не перестреляли.
>>178419014Ну а как тебя еще называть, если ты хуйню лютую несешь? Либо ты траль, либо у тебя опыт разработки никакой, либо ты лютый консерватор и пишешь на плюсах под какую-нибудь эмбед парашу и где фичи плюсов нахуй не нужны.
>>178400987https://packagecontrol.io/packages/Acme%20Color%20Scheme
>>178419197Ну вот ты и доказал, что ты долбоеб, который не знает минусов своего языка и хвалится мнимой элитарностью. >правильно организовать множественное наследованиеНадо ж такую хуету было сморозить
>>178417009близко.
>>178419431Это не минус, дебич. Боишься/не умеешь/не хочешь - не юзай. Всегда есть выбор.
>>178419666>аргументы школьника>кококо, я не школьник!
>>178419431Как же джавадебилу печет. Я хочу услышать, как ты только выиграл из-за того, что у тебя нет— пропертей— макросов— type alias'ов— человеческих генериков без каста к Object'у лол в 2018 то
>>178419045>ни в одном современном ЯП его нетпитон
>>178419497Откуда пикчи взял?
Когда-то я мечтал стать программистом. Читал всякие книжки и статьи про ооп, про циклы, про вот это вот всё. Как-то написал рекурсивную сортировку массивов. Круто, думал я, глядя на пробегающие по экрану строки прохода цикла по массиву. А потом вспомнил как безуспешно ходил на собеседования, пытаясь найти работу получше, не грузчиком или мерчендайзером, как краснел и смущался уверенных в себе эйчаров, тупя взгляд из-под дешёвых очков на растянутые колени на заношенных джинсах.. И подумал нахуй всё это. Буду продолжать работать говночистом.
>>178419721Прежде всего я выиграл тем, что я работаю, а не лечу косяки языка, бгг
>>178420168Ахуенные аргументы, бро.
>>178379934 (OP)хуй
>>178419841Тут запиливали тред, чет накосячил, грузится хреново.
>>178420378Архив треда есть?
>>178420464Хз, это типо субкоты тред был, они периодически создаются. Кинуть пак с картинками?
>>178420653Да
Кто догадается что этот код делает?
>>178420689http://rgho.st/6yX75qTWD
>>178421111Копирует картинку.
>>178421237неть. Точнее не только
>>178421111Узнаёт цвет в rgb
>>178421312неть
>>178421294В любом случае за такой говнокод убивают нахуй, а если еще и ничего не понятно, что он делает, то увольняют.
>>178421414первый порвался, найс
>>178421294и еще меняет через жопу цвет пикселей по условию.
>>178379934 (OP)Под ведро раньше писал, теперь пишу бэк на Spring, в настоящий момент шатаю SAP Hybris одного крупного французского магазина.
>>178421111Рисует желтое говно.
>>178421462>первый порвался, найсЕбаклак, блядь. Ты наверное считаешь, что чем хуевее написано и непонятно, тем лучше, да?
>>178421463Ну, в принципе правильно. Меняет оттенки жёлтого на оттенки синего.
>>178421492Сам ебаклак, хули тут непонятного? Нет ни рекурсий, ничего, две рабочие строчки кода. Читать не умеешь, не пизди.
>>178421111Заменяет зеленую компоненту синей, если красная и зеленая компоненты больше 150.
>>178421111Копирует участки картинки с цветами от гороха до светло-синего.
>>178421492Чего ты со школотой разговариваешь-то Где-то плачет один Боб Мартин
>>178421561Цикл в цикле, строкове константы, мэджик намберс, вместо имен C что бля, нерелейтед код в конструкторе
>>178421561Ты просто говнокодер, лол. Сам этого не понимаешь еще
>>178421111> ColorChangerменяет цвета на картинке, причём как-то уебански, дважды копируется синий канал, зеленый проёбан.
>>178421561Речь идёт о том, как правильно оформлять код. Прочитать конечно твой код и понять что он делает - можно, но в коллективе за такой код сначала пиздят, а если не начинаешь нормально оформлять - увольняют нахуй. Комментарии нужно писать, переменные нормально именовать. Form1, bmp, bmp1, вообще пиздец. Спасибо хоть не x, x1, x2, x3.
>>178421111>этот каст
>>178421967>Комментарии нужно писатьКакие нахуй комментарии в 10 строчках кода?
>>178421967Мне не за красоту кода платят, а что бы он работал.
>>178422267Тебе за этот код никто не заплатит. Да и где это видано, чтобы за лабы платили?
>>178422267Если в ЯП не завезли апострофы в идентификаторах, то пиши source и target или src/tgt и не выебывайся.
>>178422211>>178422267Дело в том что вчера код был 10 строчек, сегодня ты добавил 20 строчек, а через месяц у тебя класс разрастается до тысячи строк. И если ты вовремя не позаботился о том чтобы нормально всё задокументировать - твой код потом поддерживать станет очень тяжело. Будем честны, даже какой-нибудь Линус Торвальдс не сможет написать без ошибок кода дохуя, а как твоя писанина работает - забывается уже через неделю-две. А если через полгода после того как ты выкатил свой код в продакшн - всплывает баг - нужно будет затратить гораздо больше времени и усилий чтобы понять что пошло не так и где исправлять.Алсоу> Мне не за красоту кода платят, а что бы он работал.Максимально школьный подход. В нормальных конторах платят не только за то чтобы твой код работал, но и за то, чтобы он был нормально задокументирован и покрыт тестами, потому что ты не один пишешь, а в команде. А без этого работа превращается в ад уровня "хуяк хуяк и в продакшн"
>>178422318ЭТО НИ ЛАБЫ! Я ТИМЛИД-АРХИТЕКТОР-ГЛАВНЫЙ МЕНЕДЖЕР В КОМПАНИИ ЛИДЕРЕ СВОЕЙ ОТРАСЛИ! Я ЖИВУ АКТИВНОЙ И ПОЛНОЦЕННОЙ ЖИЗНЬЮ! ЛИДЕР МИТАЛ ГРУППЫ!
>>178422633Так и есть, а отдельная трудность разбираться в закоментированных группах.
>>178422851> разбираться в закоментированных группахЧто такое "закоментированная группа"?
>>178422851q = <i> / <jkl> /
>>178422267Дак он и работать не будет, раз так написан. Понятно, что в твоей лабе обоссаной 10 строк, а теперь представь, что у тебя проект на >200 файлов и в каждом переменные, классы-хуясы, небо и Аллах названы как-нибудь типа "a","b","cnt","ftn","hui" - ты ж обосрёшься это отлаживать и поддерживать.Ебал я таких программистов, у меня на проекте кто-то так целый модуль наговнокодил - смотришь и охуеваешь с этого пиздеца.
>>178423132>Понятно, что в твоей лабе обоссаной 10 строкВот, кстати, большая ошибка. Они сначала в лабах такое делают, а потом и в продакшн тащат. Типа как с русским языком говорят, когда его не знают совсем: "я не на экзамене, чтобы писать без ошибок". Лабы нужны, чтобы учиться делать продакшн на примере лабы, а не лабы ради лаб.
>>178423132Да я ему выше расписал уже всё то же самое. Говнокод - это такое. Либо вылечится и начнёт нормально писать после живительных пиздюлей от тимлида, либо попишет говнокод ещё годик, охуеет что его никто нанимать не хочет с таким подходом и пойдёт создавать треды на дваче ПРГРАММИРОВАНИЕ МЫЛЬНЫЙ ПУЗЫРЬ КОДЕРЫ БУДУТ НЕ НУЖНЫ ЯСКОЗАЛ
>>178422944НУ это когда много хуйни закометированной, их нужно тоже закоментировать в группу.
>>178422633>Дело в том что вчера код был 10 строчек, сегодня ты добавил 20 строчек, а через месяц у тебя класс разрастается до тысячи строк. И если ты вовремя не позаботился о том чтобы нормально всё задокументировать - твой код потом поддерживать станет очень тяжелоНу давай, закомментируй этот код. Че и где там написать надо?
>>178379934 (OP)Почему пишут хелло ворлд без запятой?
>>178423417O, nested comments? Это свежо.
>>178385442ебантяй на джаве в основном и хуярят фронтенд для андроида
>>178423132У меня в таком стиле целый проект на около 40 тыс. строк, всё работает и отлаживать не проблема. Хотя, конечно, не завидую если кому-то это без меня поддерживать придётся. Но так как я единственный программист - мне норм. У меня свой подход есть - чем локальнее переменная - тем короче её название. Глобальные естественно имеют понятные уникальные названия. А твоими src/tgt, если переменных будет уже 3-4 хуй запасёшься.
>>178423517Тому що неграмотные.
>>178423490Назови нормально переменные, сделай так, чтобы магия с цветовыми каналами была отдельным стейтментом, и запости сюда.
>>178423626>мне нормУйдешь на 2-3 мемяца на другой, а потом вернешься и завоешь.>чем локальнее переменная - тем короче её названиеЛогично, но если учитывать пост, на который ты отвечаешь, то у тебя все равно имена вплоть до глобальных смысла не несут?Типа i - счечтикds - идектификатор таблицыdfgfghf - проперти класса
>>178423517Запятая может вызвать синий экран
>>178423675Это не мой код, я не этот джавист. Просто напиши комментарии и номера строчек, куда их вставлять. А если ты не можешь разобраться в этом 10 строчном коде, где фактически 2 строчки что-то делают, то ты ебобо.
Скажите, как вообще выглядит стандартный процесс разработки программы командой/на фирме?Пока я вижу это так: проект менеджер создает основную схему работы программы, затем эту схему дробит на множетсов отдельных секций, потом эти секции рассылает своим подчиненным, и они уже реализуют в коде работу приложения.Как сильно я обсираюсь ошибаюсь? поделитесь опытом!
>>178423781Я крестовик, пруфов не будет.
>>178423781>джавистТам шарп, блядь.
>>178423910>>178423884Я тоже крестовик, но на джаве и некоторых других яп тоже пишу.
>>178423863Создают максимально базовый, но полный функционал, типа менюшки и кнопок, где за каждой кнопкой пишется "under construction", потом разбивается объём работы на всех и пишется. Как правило один человек основную работу программы, а остальные - свистелки и перделки
>>178379934 (OP)>А что ты можешь написать на яве?Могу изменить все что ты написал на яве , как хочу и когда хочу. Каким бы обфускатором ты не пользовался.
>>178423964А у меня ОС джаву не поддерживает.
>>178415183Омерзительные аннотации
Я тут третий курс погромистский закончил, пописал всякие лабы и игры на разных языках, и до сих пор не знаю куда податься. Есть ли смысл задрачивать андроид, например, или забить хуй и пойти вебмакакой? Жс еще даже в глаза не видел, правда. Я понимаю, что мне нужно по идее выбрать один язык и набивать опыт, но все время мечусь от одного к другому и не могу на одном сосредоточиться.
>>178424213Начни писать на Clojure и постигнешь дзэн
А может 2ch chess AI contest?
>>178424213JS на удаленку проще
>>178424280Не извращай мальчика, у него ещё смерть впереди.
>>178421967Все так, только комментарии не нужны
>>178400828Покажи ему этоconst int main[] = { -443987883, 440, 113408, -1922629632, 4149, 899584, 84869120, 15544, 266023168, 1818576901, 1461743468, 1684828783, -1017312735 };
>>178424295стул0: веб-интерфейс, текстбокс для кода, мясо.стул1: чесс-овер-айпи протокол, соцсеть под это дело.
>>178424050>потом разбивается объём работы на всех и пишетсяА как вообще дизайн создается? Типа встает один важный хуй с листком бумаге в руке и рисунком карандашом и говорит "Вот так будет" и все под него пишут?
>>178400828>ебанутый препод помешан на сокращении кодаДа и похуй на него. Самое главное - код должен быть как можно более читабельным, поэтому смело добавляй пустые строки, отступы, пиши открывающую фигурную скобку в отдельной строке и шли его нахуй.
>>178424420Ну если ты сказал - то конечно же не нужны. И тесты не нужны. Контроль версий тоже не нужен. Да в принципе и на оформление кода можно хуй положить, ты же особенный и твой кодище на сотню тысяч строк идеален и никогда не падает. А корпорации-то и не в курсе, тратят бабло, а могли бы нанять анона с двача, он бы им всё написал с первого раза идеально.
>>178423884Крестовики, а, крестовики, сколько вы получаете?
IDENTIFICATION DIVISION.PROGRAM-ID. HELLO-WORLD.PROCEDURE DIVISION.DISPLAY 'Hello world!'.STOP RUN.
>>178424581>Типа встает один важный хуйЭтот хуй зовется заказчик/представитель заказчика, а ему до этого рисуют дизайны ВНЕЗАПНО дизайнеры.
>>178424581Ну не совсем. Проходит несколько итераций уровня "мы подумали и предлагаем вот такой вот интерфейс, у него есть хуй сбоку, пизда сверху и пара рожек". Заказчик говорит - "всё классно, только рога уменьшить, хуй сделать больше и черный, пересадить вниз, а пизду пришить на лоб". И после нескольких итераций появляется дизайн который устраивает заказчика и при этом его можно довольно просто реализовать. Архитектурные задачи так же решаются в принципе.
>>178421111>class Form1
>>178424792Начал идентифицировать себя с рейс кондишеном, лежу в дурке. Доктор говорит, что либо меня вылечат на дваче, либо не вылечит никто.
>>178425042Помню мой первый продакшн код, мне сказали свой класс кнопок сделать.Ну я и сделал MyButton блядь. Коллеги поржали и пояснили, что так делать не надо.
>>178424792$2300 Украина
>>178425114Ну вот ты если адекватно на это отреагировал и переписал как сказали - то молодец. А тот дебил с form1 долго будет учиться похоже.
>>178379934 (OP)на РАБотке пишу говнотесты на джаве+селениуммимо automation qa
>>178425114А у меня как-то был проект, в котором вся логика была в классе TForm1, лол. Все несколько тысяч строк кода. Как же я заебался это разгребать и рефакторить.
>>178425114А как надо делать?
>>178424910>>178424930Ну хорошо, один Васян рисует на неком редакторе внешний вид программы (опять же мне непонятно на чем рисуют обычно)А как потом результат работы целой команды прогеров подгоняют под этот условный макет, шоб все свистело и пердело?
>>178425425Зависит от размеров проекта. Иногда есть отдельная команда людей которые верстают, иногда каждый прогер сам верстает так чтобы макету соответствовало.
>>178379934 (OP)> ты пидор
>>178425374Ну условно если у тебя окно кастомизируемое диалоговое, а в вашем проекте используется префикс типа DD, то типа DDCustomizableModalDialogну то есть чтобы примерно понятно было, что оно делает.
>>178425425Пишут требования "программа должна делать то-то и то-то, показывать такие окна в таких-то ситуациях". Потом делят это на части, и каждый прогер пишет свой кусок, чтобы он соответствовал требованиям.
А что про php скажете? На какие фреймворки сесть, на какие мать посадить?
>>178425425Ну вот на примере iOS: Дизайнер рисует экраны и переходы в Sketch, так же оформляет какой-то дизайн-код, константы используемых цветов и тд, ПРОграммисты распределяют, кто какой экран берет, и делают каждый свое.
>>178425251А у меня как-то был проект на ++, в котором очень большая часть логики была в 3-4 классах на 20 000+ строк кода каждый. И они еще как-то хитро общались друг с другом, без определнной системы. Каждый был связан с каждым. Его я конечно уже не рефакторил, я же не девственник ноулайфер. Просто дохуярил требуемых фич, и фиксанул пару багов(возможно добавив еще с десяток этим) и все довольны.
>>178425573Понятно.
Ща
>>178425185Ну хули ты к нему приебался, ты же понимаешь что TForm1 это дефолтное название, а судя по количеству и качеству кода - это просто лаба. Ты блядь хуже того препода, который требуют минимизровать код. Все тебе закомментить надо, все назвать по уму. Даже в ебанной очевидной лабе на полстарницы, где даже инпут картинки захардкожен.
>>178424748Ты дибил. Я не говорил, что тесты не нужны или оформление кода. Я говорил, что комментарии не нужны. И если ты этого еще не понял, то опыта у тебя не особо много.
>>178425861>Лаба-> >>178423256
>>178425817public class Program { public static void main(String[] args) { int age = 22; int money = 800; if (age > 18) { if (money > 500) { System.out.println("Welcome!"); } } }}Фигня
>>178379934 (OP)код/тхреад
>>178425861Ну это insensible default.
>>178425912Комментарии не нужны потому что ты сказал? Или где-то в Best Practice пишут "пацаны, не тратьте время на комменты, они не нужны"?
>>178425936Красивые у тебя вложенные ифы
>>178425861>а судя по количеству и качеству кода - это просто лабаЛабы зачем делают? Чтобы научиться кодить, а не говнокодить.Да и если бы тот анон написал "да я знаю, что код говно, это просто лаба, которую я написал за 5 минут" - и вопросов не было бы, но ведь он начал кудахтать и оправдываться.
>>178379934 (OP)test
>>178426106>Или где-то в Best Practice пишут "пацаны, не тратьте время на комменты, они не нужны"?this. Роберт Мартин говорит, что комменты нужны в крайних редких случаях. Очень редких, когда выразительными средствами кода нельзя выразить его намерения. В остальных 99,9% случаев комменты пишут либо КО, либо когда код говно.
>>178425912>Я говорил, что комментарии не нужны. И если ты этого еще не понял, то опыта у тебя не особо много.У меня 15+ лет опыта, и я тоже считаю, что комментарии (где это уместно) нужны.
>>178426246Очень толсто, очень.
>>178426134Я знаю, и что ты мне сделаешь?
>>178426402Минет
>>178425674>>178425584>>178425516Теперь вроде понимаю.Ну а так вообще какие программы заказывают? Я понимаю что максимально быстрый ответ я получу это "разные"Я просто реально не вижу чтобы кто то использовал программы которые были написаны под их требования. На нефтянке местные программисты пишут под нужды организации что то, но чаще всего пользуются покупные программы.Вот если тут есть программисты кто либо сейчас работает, либо раньше, поделитесь историями своих проектов, я реально не понимаю кто выступает заказчиком и что требуют, ну хоть пример какой ниудь
>>178426420Ты что педик?
>>178426370"Не стоит относиться к комментариям как к "абсолютному добру". На самом деле, комментарии в лучшем случае являются неизбежным злом. Если бы языки программирования были достаточно выразительными или если бы мы умели искусно пользоваться этими языками для выражения своих намерений, то потребность в комментариях резко снизилась бы, а может быть и вовсе сошла "на нет".Роберт Мартин "Чистый код"А теперь ты идешь нахуй.
>>178426464Именно так. Мамкины архитекторы дрочат в говноконторах по 15 лет и типа опытные. Бугага.
>>178426437Странный вопрос. Ну вот делали прогу, которая показывает список магазинов, наличие товаров в магазе и цену. А ну еще бонусную карту прикрутить можно. Думаю, понятно, кто заказчик и зачем?
>>178426464Ну если ты это интерпретируешь как "комментарии не нужны" то у тебя ещё и с логикой проблемы.
>>178426464Ох лол, там совсем не то написано, что ты говорил, лалка.ЕСЛИ БЫ (да кабы) мы жили в идеальном мире и писали идеальные программы на идеальном языке программирования - то конечно, комментарии были бы не нужны.Всегда в первую очередь нужно стараться писать самодокументирующийся код, но это не всегда возможно.Ах да, и нахуй идёшь ты, питушок.
>>178426589Ну ты просто долбич. Почитай Мартина, почитай. Может, чему дельному научишься...
>>178426464>>178426246Ну наконец-то блядь, адекват в треде. Читать код с очевидным комментариями("Это переменная типа bool", "метод getX() возращает x координату"...) для очевидного кода это пиздец. Уж лучше без них.
>>178426693>Ну ты просто долбичНу всё, "аргументы" попёрли.Он тебе прямым текстом пишет, что комментарии нужны.
>>178426589>>178426651Я отвечаю только за свой пост:>>Или где-то в Best Practice пишут "пацаны, не тратьте время на комменты, они не нужны"?>Мартин говорит, что комменты нужны в крайних редких случаях. Очень редких, когда выразительными средствами кода нельзя выразить его намеренияи это был ответ на:>Очень толсто, очень.
>>178426748>Мартин говорит, что комменты нужны в крайних редких случаяхГде?
>>178426698А читать реализацию сложного алгоритма вообще без комментариев - ещё хуже.
>>178425153Чем занимаешься, какой стаж, если не секрет? Тоже врываюсь, хотелось бы пару вопросов спросить. Чирканешь на фейкопочту, если не влом. >isrequired@disroot.org
>>178426448Машины Тьюринга вопрос же.>>178426744>>178426835> в лучшем случае являются неизбежным злом
>>178426580Разве такие вещи не реализованы уже до вас?
>>178426920Нет.
>>178426901> в лучшем случае являются неизбежным злом!= не нужны.
>>178426784
>>178427022!= не нужны.
>>178426835>А читать реализацию сложного алгоритма вообще без комментариев - ещё хуже.Хуйню написал. Либо это стандартый алгоритм типа как алгоритмы на графах/множествах/etc, либо это что-то специализированное(ffmpeg например). Ты там один хуй ничего не поймешь, если не подготовлен к этой области. Можешь глянуть исходники ffmpeg и сколько там комментариев. Тоже самое можно сказать про opencv.
>>178426835>реализацию сложного алгоритмаДЕКОМПОЗИЦИЯЕКОМПОЗИЦИЯ
>>178427047Еще раз:>this. Роберт Мартин говорит, что комменты нужны в крайних редких случаях. Очень редких, когда выразительными средствами кода нельзя выразить его намерения. В остальных 99,9% случаев комменты пишут либо КО, либо когда код говно.Где здесь я сказал, что НЕ НУЖНЫ?
>>178427065Ясно.Уж извини, примеры из рабочих проектов я тебе кидать не буду, оставайся в манямирке.
>>178426835Ты просто не видел нормального кода. Я тоже охуевал по-первости, лол. У нас проект на 120 000+ классов, релизы каждые 2 дня, CI, тесты, очень маленький процент багов в проде и комментариев в проекте нет. Тем не менее, я еще не сталкивался с модулем, в котором я не мог бы сходу разобраться и что-то допилить/пофиксить.
>>178427104>В остальных 99,9% случаевГде у него 99.9% случаев?
>>178427124>Тем не менее, я еще не сталкивалсяНу ок, раз ты не сталкивался - значит, такого не бывает.
>>178427116>Уж извини, примеры из рабочих проектов я тебе кидать не буду, оставайся в манямирке.Спасибо, не хочу говнокод смотреть
>>178427135Ты до каждого слова доебаться решил?
>>178427191>спасибо, хочу сидеть в манямиркеОк.
>>178426995>>178427047Сколько поднимаешь в секунду?
>>178427207Я всё надеюсь, что ты всё-таки задумаешься и переосмыслишь то, что прочитал в книге. Потому что там совсем другое написано, а не то, что ты тут утверждаешь.
>>178427104Да вон же, в постах >>178424420>>178425912ты же сам и написал:>Я говорил, что комментарии не нужны>Все так, только комментарии не нужны
>>178427302Там написано ровно о том же: если можно обойтись без комментария — обойдись.
>>178427317Детктор чини. Это я сказал.
>>178427317Кретин, блядь. Ты на борде первый день?
>>178427340>Там написано ровно о том же: если можно обойтись без комментария — обойдись.Ну наконец-то. Видишь, сам всё понимаешь, а пишешь какую-то чушь про то, что комментарии не нужны.
>>178427392Какой же ты тупой
Комментарии нужны когда они уместны. Но они последнее что нужно говнокоду, да еще и часто на говноязыке.
>>178427424Безотказный аргумент, всегда работает.
>>178427392Скажи честно, ты аутист без друзей?
>>178427424Кусок джуниорского дебила блядь. В книге тебе написали - пиши код так, чтобы его можно было понять без комментариев. А ты полчаса всем доказываешь пишу как хочу комментарии ненужны Роберт Мартин сказал.
>>178427424тимлида твоего ебал.
>>178427546>>178427625Лол, у говнокодеров горит.
>>178427724Тоже угораю. А какие упорные, лол
>>178427546>пиши код так, чтобы его можно было понять без комментариев. >делают вывод, что комментарии нужны, а то без них код плохой выйдет
>джуниоры говнокодят>думают, что их код хороший, потому что комментариев нет
>>178427724>>178427768Семён, плиз.
Напишите у меня на Аве, что я пидор
>>178427781> нет тыНу я даже и не удивлен. Как скоро ты пукать гринтекстом начнёшь?
>>178379934 (OP)ну вот это могу, ява вообще хороший язык что угодно можешь написчь считай.
Literate Programming увезли.
>>178427935Аутист, угомонись. Сходил бы лучше ручки по длине упорядочил. А то с людьми тебе тяжко, я гляжу.
>>178379934 (OP)var op:String = "хуй";var message:String;var leaveThread:Boolean;var setSage:Boolean;if (op == "хуй") {message = "оп хуй сажа скрыл";setSage = true;leaveThread = true;}Что вспомнил. Угадывайте язык.
>>178428074дельфи ентерпрайз
>>178428074>синтаксис как у 90% всех языковПошел ка ты нахуй
>>178410731eval(input())ez
TestМочан - петух
>>178428065Закончились аргументы - начинай гринтекстить, постить боевые картиночки и детектировать аутизм.Напомни мне, почему я всё ещё сижу на этой ебанутой борде?
>>178385495Я могу вот это написать
>>178428251>Напомни мне, почему я всё ещё сижу на этой ебанутой борде?Потому что такой же долбоеб, как и все мы.>Закончились аргументыЯ тебе привел аргументы ты — ни одного, но ты сначала доебался до чужого поста, а потом до процентов.
>>178428251Потому что тут временами встречаются адекваты и потому что другой нет, inb4: на форчане атмосфера не та
>>178428359До процентов не я доебался. Я доебался исключительно до интерпретации фразы "пиши так, чтобы можно было обойтись без комментов" как "комментарии не нужны".
>>178428492Уговорил, излагай свою теорию комментоюзкейсопадов.
Че на этом питоне помешались? Я читал только что он тормозной пиздец
Раз уж такой тред
Почему программисты так мало получают? Кого не спрошу, все в районе от 15 до 30, только погромисты с двачей 300к/сек?
>>178428584Алсо выглядит вот так
>>178379934 (OP)Один раз даже TCP-сервер и аудиопроигрыватель написал. Но это было давно.
>>178428577Потому что через полгода начинаешь зарабатывать такие суммы, что любая тёлка начинает течь при её упоминании.
>>17842867545 тысяч?
>>178428584Байтоебство на джаве, спешите видеть.
Ненавижу, бля, программирование.
>>178428584АААААААААА
>>178428713А как мне текстуру предложишь читать?
>>178428697Существенно больше!47к
>>178428761Ты то быдло, что не пишет Override? Пикрелейтед.
Мультитредный мультиюзерный сервер-чат с комнатами на 500 строк. Пир ту пир файлошейринг с трекером.
>>178427781Норм подход, чё. Но требует проверки понятности кода на группе как минимум из дюжины человек.
>>178379934 (OP)РАБотал джава-мартышкой.Пишу сервер для своей ммо.Написал бота для другой ммо.Времени мало на все.
>>178428811@public @static@class (Name)@params(int i, bool t)class () {@init(params)}
>>178424743Два чаю>>178400828сокращать код в ущерб читабельности это плохо, а у тебя даже то, что нельзя убрать, убранно
>>178428893А ещё есть @Autowired, @JsonProperty, @Bean, @Component и куча всего другого. Не нравится - уёбывай в плюсы или скриптопарашу.
Завтра на работу месить говно. Что делать, котаны? Как подумаю об этом, так сразу в дрожь хуярит.
>>178379934 (OP)>java v 2k18 kogda esti bojestwenii python ))))))))000)))00
>>178428902>>178424743>>178424442>>178410013И никто ничего не написал про >#import <iostream>Ебать вы пряники
>>178428956Иди в джава-макаки, деньги гребут лопатой и нихуя не делают.
>>178428584Я тоже движок пилю, только не на джаве, а на крестах.
>>178428074module lol_kek_cheburek(input wire clk,input wire reset,input wire [31:0] thread_code,output reg op_hui);localparam JAVA_THREAD = 32'hBADFACE;always @(posedge clk)beginif (reset) op_hui <=1'b0;else begin if (thread_code == JAVA_THREAD) op_hui <= 1'b1; else op_hui <= 1'b0;endendendmodule
такая вот хуйня.
>>178429153Блеванул тебе за шиворот
>>178428979Господи, иди нахуй отсюда. Заебало твое говно администрировать. Понапишут говна на коленке, а потом кидают это в прод. Единственный плюс, что твое говно можно починить на той же коленке, мудило.>>178428761АААААААААААААААтрибуты>>178429039Бля, неужели я промахнулся языком? Смотришь на знакомого андроид-дебила из Яндекса, все у него хорошо. И кормят, и поят, и в жопу по расписанию ебут. Проникся на столько, что обмазался техникой Apple
помогите, пожалуйста исправить такую программу:cat "test... test... test..." | perl -e '$??s:;s:s;;$?::s;;=]=>%-{<-|}<&|`{;;y; -/:-@[-`{-};`-{/" -;;s;;$_;see'- не печатает
>>178428074Экшн скрипт?
>>178429196Вот и верилогобояре подъехали.
>>178429244Ой какой смешной, патч Бармина пацанам показывает!
>>178429221
>>178379934 (OP)ява это мерзость
>>178429219пхахахаха)))
>>178429321Что не так...
>>178429153А у меня на сях завалялся старый проект
>>178429271и у нас победитель. как ты догадался?)
>>178429358a.b().c().d().e().f()вместо a.b.c.d.e.f
>>178429219В тему комментариев: почему не назвать параметр timeoutSeconds? Тогда и комментарий нахуй не нужен будет и не надо будет заебываться со сменой коммента, когда поменяется на ms. А так охуенно, бот, годнота. Красавчик.
>>178429460Да мы пидоры друг друга за километр видим.
А что ты можешь написать на кубикальте?
Нашел в старом коде комментарии./ let bal l v r = let hl = match l with Empty -> 0 | Node {h} -> h in let hr = match r with Empty -> 0 | Node {h} -> h in if hl > hr + 2 then begin match l with Empty -> invalid_arg "Set.bal" | Node{l=ll; v=lv; r=lr} -> if height ll >= height lr then create ll lv (create lr v r) else begin match lr with Empty -> invalid_arg "Set.bal" | Node{l=lrl; v=lrv; r=lrr}-> create (create ll lv lrl) lrv (create lrr v r) end end else if hr > hl + 2 then begin match r with Empty -> invalid_arg "Set.bal" | Node{l=rl; v=rv; r=rr} -> if height rr >= height rl then create (create l v rl) rv rr else begin match rl with Empty -> invalid_arg "Set.bal" | Node{l=rll; v=rlv; r=rlr} -> create (create l v rll) rlv (create rlr rv rr) end end else Node{l; v; r; h=(if hl >= hr then hl + 1 else hr + 1)}/template <class T, class StatTag>tree<T,StatTag> tree<T,StatTag>::balance(tree<T,StatTag> l, const T &v, tree<T,StatTag> *r){ if (l->height() > r->height() + 2) { if (l->l->height() >= l->r->height()) { auto right = new tree(l->r, v, r); return new tree(l->l, l->v, right); } else { auto left = new tree(l->l, l->v, l->r->l); auto right = new tree(l->r->r, v, r); return new tree(left, l->r->v, right); } } else if (r->height() > l->height() + 2) { if (r->r->height() >= r->l->height()) { auto left = new tree(l, v, r->l); return new tree(left, r->v, r->r); } else { auto left = new tree(l, v, r->l->l); auto right = new tree(r->l->r, r->v, r->r); return new tree(left, r->l->v, right); } } else return new tree(l, v, r);}
>>178429460var название: класс;
>>178429279Здарова братиш. Ты ебашишь синхронные ресеты или ассинхронные? А FSM по Муру или Мили?На Ultrascale уже перебрался?
А я всем напоминаю, что комментарии в коде - признак говнокода.
>>178429505>timeoutSecondsА еще можно определить свой тип для секунд ну это для совсем поеавших типа меня (или просто алиас) и будет все еще более понятно
Вы про сигареты или про мотик, не пойму?
>>178429497
>>178429505>очему не назвать параметр timeoutSecondsМожно. >>178429497Я сделал прайват поля везде чтобы нельзя было запороть что-нибудь присвоением, как меня учили на РАБоте делать.
>>178429544Что это????
>>178429638и чего ты мне свой linq суешь6 если разговор про убогость джавы?
>>178429656>Я сделал прайват поля везде чтобы нельзя было запороть что-нибудь присвоением, как меня учили на РАБоте делать.Так для жтого и нужны нормальные проперти
>>178429556>братишФу.>синхронные ресеты или ассинхронныеПо регламенту у нас только синхронный ресет.>А FSM по Муру или Мили?Конечно Мили.>Ultrascale Нам обычного хватает.>>178428728
>>178429704На ней писать куда приятнее, чем на плюсах, потому что очень сложно выстрелить себе в ногу.
>>178429704Хочу и сунуЯ просто неадекват. Пойду выкачусь на улицу
>>178429753Эта та паскалевская хуйня с методами, замаскированными под поля для удвоения путаницы? Самому не противно?
>>178429835>паскалевская хуйня с методамиУносите этого "программиста"
Господа гусары, давайте лучше обсудим такую замечательную вещь как if (this == nullptr)
>>178429859Лучше if (1 == 1)
>>178429753Какие такие проперти...
>>178429859
>>178429891Ну вот у меня был норм юзкейс -- алгоритм на дереве не чекает листовость всего что видит, а проваливается в nullptr->frequently_used_method()
>>178429788как же я орнул с шебемки
Что бы вы понимали в говнокоде, лалки.
>>178429926https://en.wikipedia.org/wiki/Property_(programming)
>>178429983ну tree::size { return this ? size_ : 0; }
>>178429855Ну или наоборот, я в паскалевских костылях не силён.
>>178430090В джаве этого нет значит нинужно
server core for minecraftmd5
>>178418323Продажа мотоцикла - не программирование.
Где годный гайд по жаве найти? Чоб все понятно было
>>178430095вернее size_t tree::size() { if (this == nullptr) return 0; else return size(left) + 1 + size(right);}
>>178430171В джаве много чего нет. Но программистам на джава лучше не давать свободы, а то они все поломают своими кривыми ручками.
>>178430171Всё так. Джава - это как дебиан из мира дистров. В ней есть только проверенные и одобренные для разработки инструменты, чтобы не превращать язык в разросшуюся до неузнаваемости НЁХ.
>>178379934 (OP)Могу написать тебе щёку.
Что бы вы понимали в говнокоде, лалки #2set j $iincr jif { [lindex $sqltoklist $j 0] == "(" } { incr j if {[lindex $sqltoklist $j 0] == "var" } { set v2 [lindex $sqltoklist $j 1] while { true } { incr j set t2 [lindex $sqltoklist $j 0] if { $t2 == ")" } { # found set v $v2 set i $j break } elseif { $t2 == "" } { # not found break } } }}
>>178430273Крестопетух закукарекал!
>>178429769Нет желания завязывать с этой хурмой? Въебут нам новые санкции и пиздарики, останемся без железа. Придется мимикрировать под асика-красноглазика либо ловить батхерт от Воронежских поделий.
>>178430301function isTrue(boolean x) {return !x.toString().equals("false");}
>>178430301Блядь, табы обосралисьset j $iincr jif { [lindex $sqltoklist $j 0] == "(" } { incr j if {[lindex $sqltoklist $j 0] == "var" } { set v2 [lindex $sqltoklist $j 1] while { true } { incr j set t2 [lindex $sqltoklist $j 0] if { $t2 == ")" } { # found set v $v2 set i $j break } elseif { $t2 == "" } { # not found break } } }}
>>178379934 (OP)Могу лабы местным студентам писать.
>>178430329О, а вот и профи, у которых кроме джавы и крестов ничего не существует больше.
>>178429038А с ним что?Можно ещё сократить? :Dмимо анон с тем преподом
>>178430339Есть.Но на другой планируемой работе денег меньше.
>>178428577а в 2035 все превратятся в операторов эвм
const_iterator iter_at(int index) const { if (root().size() <= index) return end(); const_iterator ret = root(); while (ret.left().size() != index) { if (ret.left().size() < index) { index -= ret.left().size() + 1; ret = ret.right(); } else ret = ret.left(); } return ret; }
>>178430474А на этой сколько выходит?
>>178430090poeben.getHuinyaName();poeben.huinyaName - просто синтаксический сахар.
>>178430523Какой хороший код, без комментариев всё сразу понятно.
>>178430555Скажем так, на транспорт, питание и жкх уходит уходит 20% з\п.Так что могу без зазрений совести донутить на твитче, подписываться в вов или еще какой хренью страдать.
>>178430557ну да, вместо параши типаprivate Int i;public void setInt(Int val) {...}public Int getVal() {...} можно писать более кратко и ясно.Int i { get {...} set {...} }
Что бы вы понимали в говнокоде, лалки #3
>>178387052Славик, ты чтоль? Сколько лет сколько зим. Я тебя узнал по твоей манере ебанутой писанины.
>>178430741>Что быНу пиздец.
>>178430665А хату можешь купить? Или тачку изсалуну?
>>178430741Выглядит довольно организованно, хуле тебе не нравится?
>>178430797На одну зарплату? Лол.Я так сильно не откладываю.
Ничего
>>178430817Нечитабельно от слова совсем.Код написан на самодельном языке (пример в жёлтом тултипе). Всё делается через глобальные переменные. Жопа. Ненависть. Пиздец.
>>178430707И получаем перемагифицированную семантику выражения x = y. В плюсах ты можешь перегружать операторы, но на вполне разумный страх и риск. А тут...Проперти нужны если у тебя интроспекция и автогенеренные юай. А синтсахар из них мне кажется какойто дебильный.
>>178429753В Си пропертисов тоже нет, но он мне все равно нравится больше, чем плюсы.
>>178430827Вот и я не могу. Печально это. Может нам джаву упороть и начать рубить 300к/нс? Чем мы с тобой хуже этих мартышек?но ненавижу блять программирование
>>178430273Я до сих пор не понимаю как лямбды юзать и зачем они нужны.
>>178431159Ну меня не печалит.Жильё у меня есть, машину не хочу, а на остальное хватает.Ну или иногда нужно подкопить месяц-другой на какую-то ёбу.
>>178431239Яснопонятно. Удачи тебе и только положительных слэков.
На java ничего не пишут, глупый
>>178430417>#includeВ c/с++ пишут include
>>178414209Постоянно на нем приходится писать. Потому что "коллеги" не признают другие языки.